Synopsys VCs errors recording

Source: Internet
Author: User
Tags synopsys

1. vcs_save_restore_new.o: Relocation r_x86_64_32s [Ubuntu 18.04]

$ Vcshome/linux64/lib/vcs_save_restore_new.o: Relocation r_x86_64_32s against undefined symbol' _ sigintr 'can not be used when making a pie object; recompile with-FPIC

/Usr/bin/ld: Final link failed: nonrepresentable section on Output

Collect2: Error: LD returned 1 exit status

Answer:

1. Install gcc-4.8: 'sudo apt install gcc-4.8 G + +-1000'
2. Start VCs: VCs-full64-CPP g ++-4.8-CC gcc-4.8...

So, in makefile: VCs = VCs-full64-CPP g ++-4.8-CC gcc-4.8...

Ref: http://bbs.eetop.cn/thread-839371-1-1.html

2. libvcsnew. So: Undefined reference...

C0: Warning: command line option '-STD = C ++ 11' is valid for C ++/objc ++ but not for C [enabled by default]
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckcallocfromgroupfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsfinalize'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snssetsmallcaching'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'initativeucl'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsgroupsize'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsinitcheck'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zssetlogicvalue'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'storemisctfcb'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpshighmemory'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsstrdupfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'seticlcmd _ resvars'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgetvaluelocation'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'shutdownnativeucl'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscallocfromgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsexecutenbas'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'isuclienginestarted'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgethdlvariablehandlebynameandid'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckstrdupfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsondkiregistrationfinished'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsfreefunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsnamedpopgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsgroupgetactive'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsinitmodule'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'registeroutofmemhandle'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsregisterifcsignal'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsfreegroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsmarkifcsignalasdirty'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsnamedpushgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgethdlvariablehandlebyname'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckfreefunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snssetho'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'printiclbeginmarker'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'setenvpair'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckreallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'storesimlogfilehandle'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'waitforuserinput'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmemgroupenablelocks'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsinitstrengthtables'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'execmhpitclcommand'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmemgroupsetthreadprivate'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgethdlvariablehandlebyid'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsposix _ memalignfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'miheapprof _ init'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckcallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snp _ strdupfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsclosedfsinstfile'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'registerprerestartfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmallocfromgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsprintf'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpssetoutofmemoryroutine'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'executetclproc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zssetnextsimulationtimeifc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgetnextsimulationtimeifc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmemsetlogfilehandle'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'miheapon'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckmallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'printiclendmarker'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsevaluatedeltacycle'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsreaddfsinstfile'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'vcsrunuclierrorscript'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsdostackunwind'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'execmhpitclcommandnoprint'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsaddborderinstance'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsucli. So: Undefined reference to 'breaknativetclloan'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zssetvcsvalue'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsmemmanagerisactive'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsgetho'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscheckmallocfromgroupfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsreallocfunc'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsreallocfromgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsgetmembytes'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsnamedallocategroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpslowmemory'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpscurrentgroup'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgetnumuscopes'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'snpsoutofmem'
/Home/softwarei/Synopsys/vcs_v2017.03/linux64/lib/libvcsnew. So: Undefined reference to 'zsgetdfsinstid'

Answer:

VCs use option:-ldflags-wl, -- no-as-needed

So, in makefile: VCs = VCs-full64-CPP g ++-4.8-CC gcc-4.8-ldflags-wl, -- no-as-needed

Ref: https://github.com/freechipsproject/rocket-chip/issues/21

Synopsys VCs errors recording

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.