Third Lecture: Post-processsing with vcs+ files

Source: Internet
Author: User

1,dump Wave by system function

$vcdpluson (level_number,module_instance,.... | Net_or_reg)

$vcdplusoff (module_instance,... | Net_or_reg)

2.debug

The following considerations are considered when simulating:

1.speed

The main is the dump data data volume format TB write scientific specifications .....

2.signal Visibility

3.signal tractablility

Mainly log display and wave waveform, schematic, source ...

4.usability

Easy to use, this is mainly Verdi

Suggestions:

1. Use ' ifdef and ' endif

' Ifdef DUMPME

$vcdpluson ();

' EndIf

2. It is not recommended to use $test$plusargs or $value $plusargs <== compile slow, TB write nonstandard

Initial begin:enable_dumping

if ($test $plusargs ("Dumpall")) $vcdpluson ();

else if ($test $plusargs ("Dump+modulea")) $vcdpluson (1,modulea);

End

Third Lecture: Post-processsing with vcs+ files

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.