Quit-Sim Set PATH1 D:/program/modelsim/vivado_libset PATH2 D:/program/vivado/vivado/2014.4/data/verilog/srcset PATH3 D:/program/vivado/vivado/2014.4/data/verilog/src/xeclibvlib novas vmap novas novas vcom+ACC-Work Novas novas.vhdvlib work vmap $PATH 1/Secureip vmap work $PATH 1/UniSIM vmap work $PATH 1/unimacro vmap work $PATH 1/unifast vmap work $PATH 1/unisims_ver vmap work $PATH 1/unimacro_ver vmap work $PATH 1/unifast_ver vmap work $PATH 1/simprims_ver #vlog $PATH 2/glbl.v vlog glbl.v vlog-work work-f. /VERILOG.F vcom-work work-f. /VHDL.F vlog-work Work $PATH 3/*. V #vsim-novopt Work.tb_sensor_rxdatavsim work.tb_sensor_rxdatarun 100nsq # # initial begin## $fsdbDumpfile (" Wave.fsdb ") # #//$fsdbDumpvars (1, Tb_binning_top); # # $fsdbDumpvars; # Forever #1 $display (" Simulation time Now is:%t ", $time); # # End
: : Closing echo @echo off:: Setting software path set Vsim=D:\Program\modelsim\win32\vsim.exeSET Debussy=D:\Program\ Debussy\bin\debussy.exe::modelsim command%vsim%-C-/S//Q::D ebussy command%debussy %-F. /verilog.f-f. /VHDL.F-SSF Wave.fsdb-2001/Q:: Delete related files generated by Debussy Rd Debussy.exelog /S/ /
Debussy and Modelsim copy-time do file scripts