Personal VIM Configuration

Source: Internet
Author: User

"/*************************************************************************"> File Name:. VIMRC"> Author:lyucheng"> Created time:2018-01-14 14:39"> Description:" ************************************************************************/Syntax on"Detecting file Typesfiletype on"use different indentation formats for different file typesfiletype indent on"Allow pluginsfiletype plugin on"Start auto-completefiletype plugin indent on"ThemeSetBackground=Lightlet g:solarized_termcolors= theColorScheme solarized"Powerline status BarSetRtp+=/home/lyucheng/.local/lib/python2.7/site-packages/powerline/bindings/vim/Setlaststatus=2Sett_co= theSetNuSethistory=1024x768Settabstop=4SetShiftwidth=4SetSmarttabSetcindentSetNobackupSetNoswapfileSetMouse=a"Plugin {Setrtp+=/home/lyucheng/.vim/bundle/vundle.vimcall vundle#begin () Plugin'Vundlevim/vundle.vim'Plugin'Scrooloose/nerdtree'Call vundle#end () filetype plugin indent on" }"NerdtreeMap<F4>:nerdtreemirror<cr>Map<F4>:nerdtreetoggle<cr>Map<F6>: Call CR () <CR>func!CR () exec"W"exec"!g++-std=c++11%-O%<"exec"!. /%<"Endfunc"File HeaderMap <F5>: Call AddTitle () <CR>function AddTitle () Call Setline (1,"/*************************************************************************") Call Append ("."),"> File Name:". Expand ("%") Call Append (".")+1,"> Author:lyucheng") Call Append (".")+2,"> Created Time:". Strftime ("%y-%m-%d%h:%m") Call Append (".")+3,"> Description:") Call Append (".")+4," ************************************************************************/") Call Append (".")+5,"") Call Append (".")+6,"#include <iostream>") Call Append (".")+7,"#include <string>") Call Append (".")+8,"#include <fstream>") Call Append (".")+9,"#include <vector>") Call Append (".")+Ten,"#include <set>") Call Append (".")+ One,"#include <map>") Call Append (".")+ A,"#include <algorithm>") Call Append (".")+ -,"#include <memory>") Call Append (".")+ -,"") Call Append (".")+ the,"using namespace std;") Call Append (".")+ -,"") Call Append (".")+ -,"int main (int argc, CHAR**ARGV) {") Call Append (".")+ -,"") Call Append (".")+ +,"return 0;") Call Append (".")+ -,"}") Echohl warningmsg| Echo"successful in adding the copyright."|Echohl noneendf""""""""""""""""""""""""""""""

Personal VIM Configuration

Related Article

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.