Quickly view pre-simulation waveforms with Debussy+modelsim

Source: Internet
Author: User
Tags vivado

Sim.do file

Quit-Sim Set PATH1 D:/program/modelsim/Vivado_lib Set PATH2 D:/program/vivado/vivado/2014.4/data/verilog/src vlib workvmap work $PATH 1/simprims_ver vlog $PATH 2/GLBL.V vlog+acc-work work-f. /src/VERILOG.F # #vcom+acc-work work-f. /src/VHDL.F Vsim-T NS-novopt work.tb_four2one_toprun @1000000usq # #Initial## begin# # $fsdbDumpfile ("Wave.fsdb"# # $fsdbDumpvars; # #End 

Run.bat file

: : Closing echo @echo off:: Setting software path set Vsim=D:\Program\modelsim\win32\vsim.exeSET Debussy=D:\Program\ Debussy\bin\debussy.exe::modelsim command%vsim%-C-/S//Q::D ebussy command::% debussy%-F RTL.F-SSF wave.fsdb-2001/Q:: Delete Debussy generated related files Rd  Debussy.exelog  /S/  /Q:: Exit command line Exit

Runbat file. rar

Simdo file. rar

Quickly view pre-simulation waveforms with Debussy+modelsim

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.