uvm:9.1.6 use callback functions/tasks to implement all test cases

Source: Internet
Author: User

1. You can also move the DRIVE_ONE_PKT in the driver to Pre_tran. Further, it is also possible to move Seq_item_port.get_next_item to Pre_tran.

2. In fact, all the test cases can be implemented without sequence, only with callback. Suppose Class A is as follows:



3. In My_driver main_phase, remove all other code and call a run only:



4. To create a new test case, you only need to derive a class from a and overload its Gen_tran function:


1) The new test case is equivalent to the overloaded Gen_tran. If you do not meet the requirements, you can also overload the type a run.

2) control the objection in run, the excitation is generated in the Gen_tran.

Copyright NOTICE: This article for Bo Master original article, without Bo Master permission not reproduced.

uvm:9.1.6 use callback functions/tasks to implement all test cases

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.