[ASIC] Data Synchronization

Source: Internet
Author: User

Data synchronization with same-frequency/different Phase Clock domains

When data was transferred from one clock domain to another clock domain, and the the and the clock domains be at the same clock-fr Equency, and is different clock phase for the both clock domain has different clock tree as show below.

From the figure, there is several important points to be highlighted.

  1. The both clock domain's clock tree should be the same source;
      1. Same PLL ' s output;
      2. Different PLL, but same clock reference;
  2. If d is multi-bits data, D should was better registered-output from clock domain-1 (thus it was easier for backend To balance the timing of d2[] and d3[] by adding less buffers);
    1. From experience, there was no must-to-have such requirement if D1 and D4 are nearer in the floorplan of the DES Ign
    2. If there is registers for d2[] and then the clock domain can has a long distance location, thus the clock tree For the both clock domain would have a clean boundary for dynamic clock management and without introducing much power O F buffers for Clock-tree ' s balance.
  3. Between d2[] and d3[], balance buffer is added to meet the timing requirement for clock-2;

This method was benefit area comparing to A-SYNC-FIFO (the depth should being larger than 8) strategy for both 1-bit and multi -bits width data-synchronization. But the synthesis constraint should is put to the top-level of the 2 clock domains; And timing analysis should is very focus on this.

[ASIC] Data Synchronization

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.