FPGA comprehensive Encoding

Source: Internet
Author: User

1 Decision Tree

The IF else statement and case are used in FPGA.

A) if else is privileged, similar to priority encoding (when the two conditions are both true, only the first condition is true). Therefore, the IF else structure should be used when there are privileged conditions, the privileged order of parallel if condition statements is exactly the same as that of if else.

B) case statements are often (not always) used in conditions where all conditions are incompatible. However, by default, case is still privileged, and the compiler selects additional logic to generate the privileged logic. If we determine that the condition is mutually exclusive, we can use the pseudo command 'parallel _ case' to implement a fully parallel structure.

C) if case is the default condition, the current value is maintained when all conditions are not met. We can also use the pseudo command full_case to cancel the default condition.

2. blocking assignment can simulate the combination logic, while non-blocking assignment can simulate the time series logic. However, blocking and non-blocking cannot be mixed into an always module.

3. For loop can be used to compress statements with the same functions.

4. IDEF should define global exploitation.

5. The parameters should be partially exploited and converted from modules to modules.

6. The passing of naming parameters is superior to passing of location parameters or deparameter.

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.