"Reprint" Debussy Quick Start (Verdi similar)

Source: Internet
Author: User

"Reprint" Debussy Quick Start (Verdi similar)

Debussy is the HDL Debug & Analysis tool developed by Novas Software, Inc., which is not primarily used to run simulations or look at waveforms, and its most powerful feature is the ability to use HDL source code, Schematic diagram, waveform, state bubble diagram, instantly do trace, assist engineer Debug.

Perhaps you will feel: as long as there are simulator such as Modelsim can do debug, why should I learn this software? In fact Debussy v5.0 after the new version, also provides nlint-check coding style & Synthesizable, which is quite useful, can help engineers understand how to write a good coding style, and develop habits.

The schematic structure of the whole Debussy is shown, and several conclusions can be summed up:

Debussy has four main units (component), Ntrace, Nwave, Nschema, nstate

L Ntrace--Hypertext source Code Analysis and Browse tool (main screen opened for%Debussy & )

L Nwave--waveform analysis tool (can be opened from within Ntrace, or directly%Nwave & Open)

L Nschema--Hierarchy schematic generator

L nstate--finite state machine Extraction and Analysis tool

The Debussy itself does not contain an emulator (simulator) and must be called to an external emulator (such as VERILOG-XL or Modelsim) to generate a Fsdb file, and its display waveform unit "Nwave" can be displayed by reading the Fsdb file to show the change of waveform or signal value

Quick Start five-part: (Debussy v.5.2)

1. Import Files and generate FSDB file
2. Trace between hierarchy browser and source code
3. Trace between hierarchy Browser, source code and schematic
4. Trace between hierarchy Browser, source code, schematic and waveform
5. Nlint
(Nstate This article does not introduce, interested readers, please follow the link after the text, download the CIC provided by the Novas original textbook reference)

1.Import Files and generate FSDB file

1-1 start debussy:% Debussy & (d case here can be, but the case of other instructions may be different)

Open the Ntrace window as follows, and a new "Debussylog" directory will be created under the working directory

1-2 Import Files : File \ Import Design ...

As shown in the Ntrace window, there are three regions, hierarchical Brower, Source Code window, and Message window.
(If you don't want to see the waveform, just want to see the relationship between source code and schematic, you can skip to step 2-1)

At this time Debussylog directory will have Debussy.cmd, Turbo.log, compiler.log three files. Debussy.cmd Record all Import
The file with all subsequent execution procedures. We can refer to this file directly to perform the last sequence of programs we did (refer to 1-5).

1-3 set up an external simulator to produce fsdb file:tools \ Options \ Preferences ...

1-4 switch to Interactive mode:tools \ Interactive Mode (Simulation menu will appear on toolbar)
Start the simulation: Simulation \ run/continue (will produce dump_i.fsdb in the simulated end working directory)

In the Source code directory, there will be an error message for the project's work library that was previously established by Modelsim: the Failed to access Library's work. In addition, you can not just open a work folder, but to really use the Modelsim production of the library, and the design compile to the work library, the step 1-4 will be the correct action.

1-5 End Program: File \ Exit

1-6 Quick Start Execution sequence

Copy the command log file Debussy.cmd in the Debussylog directory to the current working directory and re-edit the file (delete the last line of "Debexit"
Then save), refer to it to open Debussy can repeat before the same action program

% debussy-play Debussy.cmd & (The result is equivalent to 1-4 from step 1-1)

1-7 other ways to start Debussy

% debussy-f Xxx.f (similar to opening a pre-written batch file, you can load more than one at a time. V)
% debussy-vhdl-f Xxx.f (similar to opening a pre-written batch file, you can load multiple. VHDs at once)

All of these are methods that belong to the import design from file, and there is another way to import the design from library. The difference between the two is:
The import design from file is the design compile to RAM, while the import design from library is the design compile to library
(Default lib. is "work").

For larger project, it is recommended to use the import design from the library in order to avoid taking time compile each time you use Debussy
Method, and mixed-language design must use the import design from the library.

Ex. For Verilog files:%vericom-inc -F RUN.F
%debussy-lib work-top XXX &

Ex. For VHDL files:%vhdlcom-93 -F RUN.F
%debussy-lib work-top XXX &

2.Trace between hierarchy browser and source code

2-1 in hierarchy Browser click on the symbol "+" on the left of A1 (Fourbitadder), you can expand four full adder (Fa0, FA1, FA2, Fa3)

-

2-2 try double-clicking Hierarchy Browser within the testbed or A1 or fa0 ...
The Source code window on the right will immediately switch to the relative module

You can also use the mouse "middle button" to drag the left module name directly into the right source code window, the same effect.
(The most common method in Debussy is to use the mouse key to drag between different windows.)

2-3 double-click on the "Fulladder" (line 8) within the Source code window to automatically help you track down where the reference fa0 is.
The results are shown in-A1 (Fourbitadder) of fa0 (in line 32), and then back again if you double-click Fa0 (in line 32).
-You can easily track the connection of all design within project with this method

: Restores the previous action

2-4 in addition to tracking designs between the connection, you can also use the same method to trace the signal's drivers and loads.
If you double-click the signal c_in (in line 24), all drive loads for that signal will be displayed.
As a result--message window shows that there are 4 drive c_in, respectively, in Add4test Line 69, 71, 73, 75

Check all drive loads with show Next (at Line 69, 71, 73, 75)

Select Line, trace \ Load can be used to track all "loads" of the signal.

3.Trace between hierarchy browser, source code and schematic

3-1 There are three ways to open Nschema

* (ntrace) Tools \ New Schematic \ ...
* Double click on the new Schematic icon on the tool column
* To see the part of the schematic, directly with the mouse button, from the hierarchy browser drag drop into the icon (commonly used)

Is the result of throwing A1 (Fourbitadder) into the new Schematic icon:

If you want to directly see the symbol "Fulladder" Source code, the first left to select (highlight) the component, and then right-click, select "Display Source code" From the Quick Menu

Select the second output signal (highlight) of the first full adder, and then press Tools \ New Schematic \fan-in Cone to try

To zoom in or out of the screen, you can automatically adjust the size of the graphic to fill the entire window (for example)

Go back to the top screen

3-2 double-click inside symbol symbols (such as the first Fulladder) to further see their content/detail circuit composition (FA0)

3-3 try it on your own, between hierarchy browser, source code and schematic window, drag each other with the mouse key to designs/signals effect

4.Trace between hierarchy browser, source code, schematic and waveform

4-1 the next operation requires the use of the data generated by the simulator (. fsdb) to display the signal waveform "Nwave", with two possible methods

From 1-6 (the import files have been compile, as well as their. fsdb file), then open "Nwave"

From 1-1~1-2, then open "Nwave", loading 1-4 of the resulting dump_i.fsdb.
There are three ways to open "Nwave"
* Press the (ntrace) tool listed (New waveform icon)
* (ntrace) Tools \ New WavefoRM
* % Nwave &

4-1-1 If you open nwave window from 1-2, the first nwave content is empty, to execute (nwave) File \ Open ...
To load into Dump_i.fsdb.

4-1-2 then press Get signals icon, and you'll see a signal that you can choose.
-If you don't see the signal, drag the design you want to watch (A1) directly from the (ntrace) Hierarchy browser into the Nwave

4-1-3 (nwave) View \ Zoom \ Zoom All
--If you do not see the waveform, please do it Again (ntrace) Simulation \ Run/continue will see

The signal that has been selected at Signal window, if you want to delete: Select the signal to be deleted highlight, right-click the "Cut" button
If the Nwave window is opened from 1-6, proceed directly to step 4-1-2

4-2 Activate the Active Annotation feature: (ntrace) Source \ Active Annotation
Ability to select signal trigger edge at Nwave, and directly below all signal symbols of source code of Ntrace to see the transformation of the value

4-3 in Nwave double-click the signal that you want to observe the trigger status (e.g. C_out)

4-4 from (ntrace) Hierarchy browser double-click A1 (Fourbitadder), press Search Forward icon to see the changes in Ntrace and Nwave

5.nlint

5-1 opening the nlint of the Graphics interface

% Nlint-gui & (case cannot be changed, n must be lowercase, l must capitalize)

Open the Nlint window as follows, and a new "Nlintlog" directory will be created under the working directory

5-2 Import Files:File \ Import Design... (After the same operation as step 1-2, the results are as follows)

5-3 execute run \ Compile

5-4 executing Tools \ Rule Organizer, or by selecting which rules to check
--Do not want to check the project, the e/d that column of the nuclear box to cancel

5-5 execute run \ Lint --automatically jumps out of reportviwer to display the check results

5-6 Expand Report, select the first yellow warning flag, the cause of the error and the original code part, will be displayed in the box below.
If you want to modify the source code, on the error option, press the right mouse button and select Show violation \ to default editor

For files that you do not want to check, you can select the file and press the compile again. In this case, the testbench is not synthetic,
Therefore, if the first to ADD4TEST.V do suppress and then execute step 5-5, the result will become "0 violation".

Verdi Debug

Verdi debugging is mainly divided into the following steps

1. Load design and testbench into Debussy;

2, open the Nwave, load the corresponding fsdb;

3, in ntrace to observe the signal through the middle mouse button drag&drop drag and drop into the nwave;

4, usually found in the waveform problems, find the wrong place and double-click the mouse, will automatically jump to real driver statement, will jump to the source code.

5, Ntrace, Active Annotation (accelerator x) marked the simulation results under source code;

6, in the previous driver statement find a driver to use active trace to track the effective driver.

7. You can view all waveforms with just one pass of simulation, while in Modelsim you need to run the Add Wave command repeatedly and re-simulate every time.

8, you can view the design schematic schematic, which is very convenient to see each module and wire of the corresponding hardware structure, Modelsim now does not have this feature.

9, in the simulation can easily track each signal corresponding to the source code.

"Reprint" Debussy Quick Start (Verdi similar)

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.