Debussy VERILOGVHDL ISE simulation Platform Setup Steps

Source: Internet
Author: User
Tags synopsys xilinx ise


One
Xinlinx Compilation Library +modelsim+debussy version
1. Install the modelsim10.1c 32bit version (note that 64bit is incompatible with Debussy)
2. Installing Debussy54v9-nt
2.1 Set PATH Path D:\Novas\Debussy\bin
3. Unzip the compiled Xinlinx library
Modelsim_xilinx_libs__modeltech_10.1c.rar to. Under the \modeltech_10.1c folder
Debussyxilinxlib__etc-kdb-vhdl-32.rar to. Under the \debussy\etc\kdb\vhdl\32 folder
3.1 Configuring the Xinlinx library environment in Modelsim
In.. The \modeltech_10.1c\modelsim.ini file is located in the following location, adding the compiled library. (Remove the file read-only attribute, the path is replaced by your own path)
[Library]
std = $MODEL _tech/. /std
IEEE = $MODEL _tech/. /ieee
Verilog = $MODEL _tech/. /verilog
vital2000 = $MODEL _tech/. /vital2000

Std_developerskit = $MODEL _tech/: /std_developerskit
Synopsys = $MODEL _tech/: /synopsys
Modelsim_lib = $MODEL _tech/: /modelsim_lib
Sv_std = $MODEL _tech/: /SV_STD
MTIAVM = $MODEL _tech/: /AVM
Mtiovm = $MODEL _tech/: /ovm-2.0.1
MTIUPF = $MODEL _tech/: /upf_lib
Mtipa = $MODEL _tech/: /pa_lib

;//add
Unisims_ver = D:\modeltech_10.1c\xilinx_libs\unisims_ver
Simprims_ver =d:\modeltech_10.1c\xilinx_libs\simprims_ver
Xilinxcorelib_ver = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib_ver
Cpld_ver = D:\modeltech_10.1c\xilinx_libs\cpld_ver
Uni9000_ver = D:\modeltech_10.1c\xilinx_libs\uni9000_ver
UniSIM = D:\modeltech_10.1c\xilinx_libs\unisim
Simprim = D:\modeltech_10.1c\xilinx_libs\simprim
Xilinxcorelib = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib
CPLD = D:\MODELTECH_10.1C\XILINX_LIBS\CPLD
EDK =D:\MODELTECH_10.1C\XILINX_LIBS\EDK
Secureip=d:\modeltech_10.1c\xilinx_libs\secureip
Unimacro=d:\modeltech_10.1c\xilinx_libs\unimacro
Unimacro_ver=d:\modeltech_10.1c\xilinx_libs\unimacro_ver

The first few lines are the original ones, and the next few lines are manually added by myself.

3.2 Configuring the Debussy environment in Modelsim
1) Will: \debussy\share\pli\modelsim_fli54\winnt\novas_fli.dll Copy to: \modeltech_10.1c\win32\
(FLI supports Verilog and vhdl,pli only supports verilog;54 to represent Modelsim at least 5.4 versions,
See Debussy Doc, Linking.pdf P24)
Modify.. \modeltech_10.1c\modelsim.ini, modify the Veriuser section to resemble the following:
; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = VERIUSER.SL

;//add
; Use by Verilog
; Veriuser = Novas.dll
; Use by VHDL and Verilog
Veriuser = Novas_fli.dll
Add the file read-only attribute when you are finished adding.
Copy.. \debussy\share\pli\modelsim_fli54\winnt\novas.vhd to your own project

2) Add Testbench (for VHDL)
Use Work.pkg.all;
Also join
Process begin
Fsdbdumpfile ("Counter.fsdb");
Fsdbdumpvars (0, "COUNTER_TB");
Wait
End process;

3) Add the Modelsim compilation script
vcom-explicit-93 Novas.vhd
If you encounter compilation # * * Warning: (vsim-fli-3159) Failed to find foreign function ' fliparsevariableinfile ' in FLI object file "$Modelsim 1 0.0a\win32/./novas_fli.dll ".
can be ignored
4) Compile the simulation command to
Vlog ". /ROM_TEST.V "
vcom-explicit-93./test.vhd
Vsim-c-voptargs= "+ACC"-t 1ps-l xilinxcorelib-lib work Work.tb_top-pli Novas_fli.dll
-L means to invoke the compiled library, see Vsim-help

3.3 Debussy Configuring the Xinlinx library Environment
Modify.. \novas\debussy\etc\novas.rc to automatically load these library files when the Debussy is started.
Open it.. \novas\debussy\etc\novas.rc Search:
357 [Vhdl_libraries]
358 work =./work
Add after work =./work (the path changes to your own path, note the backslash "/")
UniSIM = d:/novas/debussy/etc/kdb/vhdl/32/unisim.lib++
Xilinxcorelib = d:/novas/debussy/etc/kdb/vhdl/32/xilinxcorelib.lib++

4. Environmental test Project "Polyphase_two Clk.rar", the project Novas.rc,novas.vhd file to be replaced with your modified file,
Run the inside script Compile.bat,compile_debussy.bat,run.bat,view_debussy.bat (it is recommended to first delete the compiled generated files, and then compile
Compiled generated files: Debussy.exelog vericom.exelog vhdlcom.exelog work work.lib++)
5. If the build is not correct, first check whether the environment variable is set.
6. Subsequent file comparison recommendation tool "araxis_merge2014"

7.1. To use it in conjunction with Zstudio, advance the date first
For example, one year in advance, disable the system time Network Automatic Update (20150101->20140101)
Computer Right-click Management-Services->windows time, select Disable

If you have previously installed Modelsim or Debussy, and you have used Modelsim after 20140101, haha, it is difficult for you to use it with Zstudio.
Individual attempts:
I uninstalled the Modelsim, restart the computer, install again, always reported license error, I see in the registry after the Modelsim project file path,
In other words, the Modelsim will detect the date when the file was generated, and if the file date is later than the start time, it will be reported license error (guess)
7.2 or install this folder Zstudio-lic-crack, see inside the Readme.txt

8. Frequently Asked Questions:
1) The generated Xilinx IP if prompted Error:. /ROM_TEST.V (176): Module ' blk_mem_gen_v7_3 ' is not defined.
Verify that the emulation script has the-l Xilinxcorelib
2) using the ISE IP ROM simulation model generated by VHDL, partial waveform display is incomplete (or there is error).


Two
Xinlinx+modelsim+debussy version
1. Install Xilinx ISE14.4 (longer)
2. Install the modelsim10.1c 32bit version (note that 64bit is incompatible with Debussy)
3. Installing Debussy54v9-nt
3.1 Set path Path C:\Novas\Debussy\bin
4. Compile the Xinlinx library (for Modelsim), compile with Ise,
See "About Xilinx ise10.1 and Modelsim Simulation library compiled. txt" method one
If you encounter problems, refer to
"Xilinx ISE 10.x calls Modelsim SE 6.5 simulation of several problems and their solutions"
5. Compile the Xinlinx library (for Debussy), compile with Debussy,
The steps for compiling are described in "Modelsim+debussy?for?" Vhdl_bienvenue_ Sina Blog ",
The compilation script is described in "Debussy_compile_xilinxlib.bat"
Compile problems, refer to
"Debussy Study notes-fliparsevariableinfile problem",
"MODELSIM10.C cannot load Debussy's Novas_fli.dll".
"The defined flipasevariableinfile cannot be found in Novas_fil.dll" This warning can be ignored.
6. Environmental Test Project "Polyphase_two Clk.rar", the project Novas.rc,novas.vhd file to be replaced with your modified file,
Run the inside script Compile.bat,compile_debussy.bat,run.bat,view_debussy.bat (it is recommended to first delete the compiled generated files, and then compile)
7. If the build is not correct, first check whether the environment variable is set.
8. Subsequent file comparison recommendation tool "araxis_merge2014"

Debussy VERILOGVHDL ISE simulation Platform Setup Steps

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.