Win under Build UVM environment

Source: Internet
Author: User

UVM validation methodology, a good validation tool, below with MODELSIM-UVM to do a Hello world.

1. Install Modelsim se 10.1a

2. Download uvm_1.1d uvm-1.1d.tar.gz (3.07 MB). Then, after unzipping, copy to the Modelsim installation directory. /verilog_src/directory, my directory is C:\software\modeltech_10.1a\verilog_src. Note here, after copying, open the uvm_1.1d folder, you should be able to see directly bin/docs/ examples/src/folder and several txt files.

3. Configure the system environment variables. Open the Modelsim and enter it under the vsim> command line

Vsim N>set uvm_home c:/software/modeltech_10.1a/verilog_src/uvm-1.1d

Vsim N>set Model_tech C:/software/modeltech_10.1a/win32

(can also be set to system environment variable)

4. Compile the uvm_1.1d library file. The so-called compile library file, my understanding is to compile uvm_1.1d into a DLL file that Modelsim can load in Windows, so this step is the process of generating a. dll file. gcc compiler commands are needed here, the latest is (MODELSIM-GCC-4.2.1-MINGW32VC9) Modelsim-gcc-4.2.1-mingw32vc9.part01.rar (MB) modelsim-gcc-4.2.1-mingw32vc9.part02.rar (5.65 MB)after decompression, copy to the Modelsim installation directory, my copy directory is (C:\SOFTWARE\MODELTECH_10.1A\GCC-4.2.1-MINGW32VC9), open the file can directly see bin/doc/ Include/info/lib/libexec/man/share folder, the above basic work is done, you can compile the. dll file. 5. At the Vsim command line, enterVsim n>c:/software/modeltech_10.1a/gcc-4.2.1-mingw32vc9/bin/g++.exe-dquesta-w-shared-bsymbolic-i $MODEL _ tech/. /include $UVM _home/src/dpi/uvm_dpi.cc-o $UVM _home/lib/uvm_dpi.dll $MODEL _tech/mtipli.dll-lregexNote that you must create the Lib folder in the $uvm_home directory first. 6. Download hello_world.sv hello_world.rar (231 Bytes)Create the Modelsim project and add the Hello_world.sv file. Assuming the project catalog is c:/modelsim_uvm/

7. Compiling hello_world_example source files

Vsim n>vlog +incdir+ $UVM _home/src-l mtiavm-l mtiovm-l mtiuvm-l MTIUPF c:/modelsim_uvm/hello_world.sv

8. Emulation Hello_world_example (need to call the newly compiled Uvm_dpi.dll)

Vsim n>vsim-c-sv_lib $UVM _home/lib/uvm_dpi work.hello_world_example

Select Run 100ns

Hello World runs successfully.

Win under Build UVM environment

Contact Us

The content source of this page is from Internet, which doesn't represent Alibaba Cloud's opinion; products and services mentioned on that page don't have any relationship with Alibaba Cloud. If the content of the page makes you feel confusing, please write us an email, we will handle the problem within 5 days after receiving your email.

If you find any instances of plagiarism from the community, please send an email to: info-contact@alibabacloud.com and provide relevant evidence. A staff member will contact you within 5 working days.

A Free Trial That Lets You Build Big!

Start building with 50+ products and up to 12 months usage for Elastic Compute Service

  • Sales Support

    1 on 1 presale consultation

  • After-Sales Support

    24/7 Technical Support 6 Free Tickets per Quarter Faster Response

  • Alibaba Cloud offers highly flexible support services tailored to meet your exact needs.